Chip Directory

'Click here' for a quick component search via Broker1.

[index page of this directory]

Manufacturers of equipment for chip making and testing

This includes distributors and brokers of these machines.

Equipment used in the chip making industry:

This also includes providers of testing services.


Advanpro
Advanpro Corporation
4205 White Bear Pkwy.
St. Paul, MN 55110
tel: +1-651-287-1540
fax: +1-651-407-0348
terryb@advanpro.com
Advanpro Corporation designs and markets value-engineered service parts toIC manufacturing facilities worldwide.


Applied Materials
Applied Materials, Inc.
3303 Scott Boulevard, M/S 1414
Santa Clara, CA 95054, USA
tel: +1-408-563-1373
fax: +1-408-563-5213
info@amat.com - ???
www.appliedmaterials.com/
Applied Materials is a manufacturer of semiconductor fabrication equipment.
A Fortune 500 global growth company and the world's largest supplierof wafer fabrication systems, processes and servicesto the global semiconductor industry.
Main chip-related products: Dielectric Etch, Metal Etch and Silicon Etchproducts.

ASC International
ASC International
3979 Co. Rd. 116
Hamel, MN 55340
USA
tel: +1-763-478-6200
tel: +1-888-478-2912
fax: +1-763-478-6206
info@ascinternational.com
jona@ascinternational.com
www.ascinternational.com/ General
ASC International helps manufacturers worldwide improve their production yield and profits. Using our portfolio of optical-based measurement systems, process control tools and production equipment, we work closely with our customers to develop and implement yield improvement strategies that work... guaranteed.

ASMI
Netherlands

ASML
Netherlands

ATM
American Tech Manufactuing
322 Oak Lane
Glenolden, PA 19036
USA
tel: +1-610-4614100
fax: +1-610-5833469
atmhalma@dynanet.com
sales@americantech.com
info@americantech.com
www.americantech.com/
We design and build equipment for the inspection of assembled chips.We provide equipment for lead inspection, mark inspection.We also provide equipment for lead correction after inspection.

Bid and Brokerage
Bid and Brokerage, Inc.
tom@bidbkr.com
www.crl.com/~bidbkr/
We resell pre-owned semiconductor fab and test equipment.

Capovani Brothers
Capovani Brothers Inc.
Equipment for Science and Industry
tel: +1-518-346-8347
fax: +1-518-381-9578
cbi@capovani.com
www.capovani.com/
Buys and sells equipment related to the semiconductor industry.

Chempharm
Chempharm Industries India Ltd.,
001B, Yogeshwar Apartments,
18, Nutan Bharat Society, Alkapuri
Vadodara - 390 005
tel: +91-265-350244
tel: +91-265-305501
fax: +91-265-350244
V.Prabhakar@chempharmindia.com
prabhakar@indiya.com
www.chempharmindia.com/ General
www.filteration.com/ Search engine
'Total solution provider in clean room technology'.
The range of products and services includes:
Turnkey contracts for Clean Rooms Design, supply, testing and commissioning of clean rooms of class 10, 100, 1000. Clean air tent, ventilation systems, etc.
Clean room equipment Laminar air flow benches, pass boxes, fume hoods, air showers, air curtains, etc.
Clean room accessories Clean room garments in dacron and antistatic fabrics, tacky mats, gloves, etc. Clean room tapes, wipes, etc.
Filters for clean room and other filters Pre, fine and HEPA filters for clean rooms and ventilation systems. Also, filters, filtration systems and strainers for air, liquid and gas applications.
Clean room validation Validation of clean rooms for class check, particle count and leakage tests as per FED Std 209D.

Chess Laser Systems
Chess Laser Systems
Unit 7 North Heath Industrial Estate
Horsham
UK
tel: +44-1403-249-888
fax: +44-1403-249-555
info@chess-sys.com
www.chess-sys.com General
Laser Marking systems designed for high volume production laser marking ofIC devices.
The PL200 Topmark Tray from Chess Laser Systems is a high-speed tray to traymarking system that was launched at Semicon West 2000. We have combined theexcellence of the Rofin Sinar range of IC* semiconductor markers with thequality of the Chess handling systems to form the perfect handling solution.
We also have a wide range of general equipment available, from PCB Handlersto livestock tag markers, as well as IC* testing, inspection and programmingequipment.

EPNO Far East
EPNO FAR EAST PTE. LTD.
66, Tannery Lane #04-03
Sindo Building, 347805
Singapore
tel: +65-844-5560
fax: +65-747-3913
eddiengyn@pacific.net.sg
epno.cjb.net/
Leading-edge technologies supplier for semiconductor precisionengineering and equipments,with emphasis on fast delivery, superior quality, competitive pricingand total commitment to customer.

FASTech
FASTech Integration, Inc.
55 Old Bedford Road
Lincoln, MA 01773
USA
tel: +1-781-259-3131
fax: +1-781-259-3188
info@fastech.com
www.fastech.com
FASTech provides a fully integrated Manufacturing Execution System (MES)software solution from shop floor control to equipment automation.From FACTORYworks and CELLworks for MES, cell and station controlto STATIONworks and Xsite for equipment automation and maintenance,our software provides the lowest cost of ownershipto semiconductor and related manufacturers.

Fullman
Fullman Company
5711 S. W. Hood
Portland, OR* 97201, USA
tel: +1-503-224-5200
fax: +1-503-224-8521
www.fullman.com/ General
www.fullman.com/semiconductors/semiconductors.html Nice overview of how chips are produced
Process piping and mechanical systems contractorspecializing in work for the semiconductor industry.
From its roots as a family owned business started in 1906,Fullman Company has become one of the leadersin the mechanical contracting industry.
Growing from a one-man, one-truck plumbingoperation into a full service mechanical contractor,Fullman Company has divisions for high-techfacilities, design-build, major mechanical, lightcommercial and plumbing and HVAC maintenance.
Since starting our Advanced Technology Division in1985, our scope has broadened from regional tointernational. To support this operation, FullmanCompany maintains one of the industry's largest pool ofspecialty welding and analytical equipment, mobilecleanroom facilities and tubing, fitting and valveinventories. In addition to our Class 100 and 1000 mobilecleanrooms, our Class 10 cleanroom shop is available tofabricate high-purity system components which must beassembled in an ultra-clean environment.

Garuda
Garuda Microelectronics
627 W 23rd Avenue
Vancouver, British Columbia (BC), V5Z 2A5, Canada
tel: +1-604-874-0875
fax: +1-604-871-3040
chris.garuda@npsnet.com
www.npsnet.com/garuda/
QMC-3 Low-cost (<US$ 25,000) analog integrated-circuit tester.Basic DC accuracy 0.015%, AC and timing capability, modular system,custom configurable.
Consulting house which specializes in made-to-order low-cost IC* testingsolutions.

GenRad
www.genrad.com/
Automatic test equipment

Gold Technologies
(Should this entry be here or on page local ?)
Gold Technologies, Inc.
870 Walsh Avenue
Santa Clara, CA 95050
USA
tel: +1-408-567-9831
tel: +1-408-567-9457
fax: +1-408-564-9833
info@goldtec.com
www.goldtec.com/
Custom test interface manufacturer for the electronics industry.

Henry Butcher
Henry Butcher
High Holborn 50/51
London, WC1V 6EG
UK
tel: +44-1803-667512 - UK
tel: +44-20-7405-8411 - UK
fax: +44-20-7405-9772 - UK
tel: +1-613-768-2078 - USA
info@henrybutcher.com
www.henrybutcher.com/
International disposal of semiconductor manufacturing equipment.

Hitachi Kokusai
See Kokusai

HTQ
H.T. Quartz
2363 Verna Court
San Leandro CA, 94577
SA
tel: +1-510-614-9900
fax: +1-510-614-9981
htquartz@aol.com
www.htquartz.qpg.com/
HTQ is specialized in complex glass blowing productionand quality fabrication of intricate and exclusive quartzwarefor semiconductor industries and scientific research.

IBM
www.chips.ibm.com/services/surplus/surplus.html - Surplus equipment

IMS
Integrated Measurement Systems
David Eastman <davide@ims.com>
Integrated Measurement Systems manufactures and marketsmixed-signal and digital testers for ICs and other devices.
Integrated Measurement Systems is the world leader in engineeringTest Stations and Virtual Test Software tools for the validationand characterization of ICs and electronic systems.

Ironwood
Ironwood Electronics, Inc
990 Lone Oak Road
Eagan MN 55121
US
tel: +1-651-905-7919
tel: +1-800-404-0204 - Sales/Technical Support
fax: +1-651-452-8400
traceyh@ironwoodelectronics.com
www.ironwoodelectronics.com/ General
www.eunices@ironwoodelectronics.com/ Technical data
Services:
Ironwood Electronics offers the most comprehensive test and prototypeadaptor line in the industry. A fully knowledgeable staff of electrical,mechanical, and software engineers is available to answer your questions onstandard catalog items as we design custom solutionswith latest in high density interconnect technology.
Advanced design tools for simulation, 3D solid modeling, and PCB CAD* areutilized to designproducts of superior mechanical and electrical integrity.We have extensive experience with test and system integrationof all package types from SOIC's, QFP, PLCC, BGA, and more.
Products:
Advertisement

JanTech
(Owned by The Kizer Group)
JanTech
13080 Three Oaks Drive
White City, OR* 97503
USA
tel: +1-541-830-0260
fax: +1-541-830-0261
jantech@cdsnet.net
www.jantech.com/
Marking machines for marking small partssuch as electronics components using inkand common printing dies by offset anddirect printing methods.
Specializing in economical markingsolutions for small parts.
It's not finished until it's marked.
Using offset or direct printing method,mark on all sorts of surfaces whetherflat, curved or irregular... evenrecessed or near obstacles such as pins.

JGA
Jon Goldman Associates
2237 N. Batavia
Orange, CA 92865
USA
tel: +1-714-283-5889
fax: +1-714-283-2884
LuisOrona@compuserve.com
www.jga-inc.com/
Process management software for semiconductor manufacturing equipment.
Data capture for diffusion furnaces, CMP equipment, CVD equipment.Host interface, recipe verification, SPC trends, and more.

Kokusai
Kokusai Semiconductor Equipment Corporation
Hitachi Kokusai Electric, Inc.
P'S Higashi-Nakano
3-14-20 Higashi Nakano
Nakano-ku, Tokyo 164-8511
Japan
tel: +81-333-65-9190
fax: +81-333-65-9119
www.admin@h-kokusai.com
www.ksec.com/ USA
www.h-kokusai.com/e_index.htm Japan
Designs, manufactures, installs and maintainsvertical and horizontal diffusionand low-pressure chemical vapor depositionfurnaces as well as rapid thermal processing equipment.For over three decades, Kokusai has been recognized as a pioneerin the field of thermal processing technology.Kokusai's furnaces are found in the majorityof semiconductor fabrication facilities around the world.The world's leading integrated circuit manufacturers turn to Kokusaifor new and innovative solutions to improve fabrication cycle timeand productivity while also maintaining superior quality.

L.O.T. - Oriel
L.O.T. - Oriel Ltd
1 Mole Business Park
Leatherhead, Surrey, KT22 7AU
UK
tel: +44-1372-378822
fax: +44-1372-375353
materials@lotoriel.co.uk
www.lotoriel.co.uk General
Distributor within the UK and Ireland of semiconductor instruments,specifically SPM, AFM, ellipso meters, nanoindentors and wafer dicing.
Our products cover all stages of the semiconductor manufacturing process,from wafer deposition to final inspection and test and are equallyapplicablefor online processing, quality control and research.By combining the best instruments in each field with our extensive rangeof environmental isolation and motion control products, we can offer fullyintegrated,turnkey solutions to a wide range of production and research applicants.
We are part of the L.O.T. Group, which was founded in 1970 and is based inDarmstadt, Germany.It has subsidiaries throught Europe and employs technical specialists -scientists and engineers,who will fully understand your applications and provide the mostappropriate and cost effective solution for your requirements.

L.V. Service Enterprise
L.V. Service Enterprise
1875 Laurinda Drive
San Jose, CA 95124, USA
levthebest@hotmail.com
tel: +1-408-559-8993
fax: +1-408-559-6008
Semiconductor Equipment.
Specializing in Canon PLA* Mask Aligners.
One of the best Proximity/Contact Aligners available.
Over 18 years experience in the industry.
Fast growing company specializing in Canon PLA* 500, 501& 600 Mask Aligners,Providing high quality full service, remanufacturingof entire systems and sub-assemblies,Servicing of equipment and Technician training.

Markem
Markem Corporation
150 Congress Street
Keene, NH 03431
USA
tel: +1-603-352-1130
fax: +1-603-357-1835
info@markem.com
www.markem.com/
Laser marking systems for semicondutors, circuit boards, memory devices,logic devices, wafers, and other electronics products.

Microcosm
Microcosm, Inc.
9140 Guilford Road, Suite O
Columbia, MD 21046
USA
tel: +1-301-725-2775
fax: +1-301-725-2941
info@microcosm.com
www.microcosm.com/
They make microscopes

PMT
Plasma & Materials & Technologies, Inc.
9255 Deering Ave.
Chatsworth, CA 91311, USA
tel: +1-818-886-8000
fax: +1-818-886-8098
High Density Plasma (HDP) Etch Equipment for the fabrication ofsemiconductorintegrated circuits.Single module and cluster tools mounted with the M0RI200 HDP source.
Founded in 1985.
  • Founders: Greg Campbell & Robert Conn
  • President & CEO: Greg Campbell
  • VP & COO: Jim Marshall

PR Hoffman
PR Hoffman Machine Products
1517 Commerce Avenue
Carlisle, PA, 17013
USA
tel: +1-717-243-9900
fax: +1-717-243-4542
fax: +1-800-776-3830 - Toll Free (US/Can)
prhoff@prhoffman.com
www.prhoffman.com/ General
www.prhoffman.com/company.htm About
www.prhoffman.com/news/ Corporate news
www.prhoffman.com/site-map.htm Search engine
PR Hoffman Machine Products has been a leader in equipment and suppliesfor the semiconductor, optics and laser, quartz, electronics, ceramics,metalworking and medical industries since 1938.
Our broad range of double-sided planetary lapping and polishing machinesincludes the 4800 Series which are widely used in the manufactureof silicon wafers from 75 - 200 mm (& low volume 300 mm).These machines are recognized for their accuracy in thickness(tol to: .00001") and flatness (< 1 µm)as well as for their durability (over 20 years of field proven service).

Pulse
Pulse Systems Enterprise
Semiconductor Division
Manila, Philippines
tel: +?-63-2-823-7285
pulse@mnl.sequel.net - Ken Ellett II
Serving the semiconductor industry.Marketing semiconductor equipment and consumable items to localelectronics/IC* manufacturers.If you are interested in representation here in the Philippines or havequestions on the Philippine semiconductor industry please e-mail.

Sagitta
Sagitta Ltd.
P.O.B 3519
Ramat-Gan 52136
Israel
tel: +972-3-7514601
fax: +972-3-7514607
info@sagitta.co.il
www.sagitta-usa.com/
Startup company. Manufacturer of polishing machine for semiconductorindustry.

Schlumberger
www.slb.com/
Has an automatic test equipment division.

SeMaTech
SEMATECH Corporate Communications
tel: +1-512-356-3118
jess.blackburn@sematech.org
www.sematech.org/
SEMATECH (SEmiconductor MAnufacturing TECHnology)is a non-profit technology development consortium of U.S.semiconductor manufacturers formed in 1987 and based inAustin, Texas.
International SEMATECH is a wholly-owned subsidiary ofSEMATECH that began operations in April 1998and it's the world's premier consortium,developing advancedsemiconductor manufacturingprocesses, materials, andequipment for our membercompanies.

Semi Supply
Semi Supply Inc.
5637 La Ribera Street Unit A
Livermore, CA 94550
USA
tel: +1-925-371-1500
fax: +1-925-371-5244
info@semisupply.com
www.semisupply.com/ General
ftp.www.semisupply.com/ FTP
Semi Supply buys, sells and rebuilds semiconductor manufacturingand testing equipment, specializing in Symtek, MCT and Aseco handlers.Semi Supply can buy any surplus item or locateand piece of equipment that you may need.

Source Electronics Corporation
Source Electronics Corporation
26 Clinton Drive
Hollis, NH 03049
USA
tel: +1-800-227-2909
tel: +1-603-595-2906
fax: +1-603-595-0068
info@sourcee.com
www.sourceelectronics.com/ General
ftp.sourcee.com/ FTP
Value-added service provider for integrated circuits for the electronicsindustry.Services include; Programming, marking, testing, lead scanning, taping andreeling, materials management and PC Card (PCMCIA) solutions.

Tektronix
Tektronix
www.tektronix.com/ General
www.tektronix.com/Measurement/welcome.html Measurement Division
www.tek.com/ Same

Teradyne
www.teradyne.com/
Automatic IC* test equipment(i.e. testers for mixed-signal and digital devices)that is used by a lot of chip manufacturers andby device qualification and incoming inspection departmentsof big customers too.
Teradyne testers are not priced too low - if you ask them for prices,you'll probably get an answer that ends with "... millions".They own a pretty big part of the ATE market, though.

Terri Reiman-Custom Engraving
Terri Reiman-Custom Engraving
tel: +1-503-982-5446
fax: +1-503-982-5173
treiman@starband.net
www.oregonsource.com
Computerized Engraving for Semiconductor Manufacturing Facilities and other Industries.Reverse Engraved 1/16" Two Layer Acrylic is our specialty.Reverse engraving is the first choice for clean room environments.The face of the signs and labels are smooth, allowing easy cleaning in high purity and clean room facilities.

Test Spectrum, Inc.
Test Spectrum, Inc.
501 S. Congress
Austin, TX 78704
USA
tel: +1-512-472-6750
fax: +1-512-472-6760
todd.turner@testspectrum.com
keith.remlinger@testspectrum.com
www.testspectrum.com General
Test Spectrum, Inc. specializes in semiconductor test solutions, includingtest software development,PCB design, and custom software applicationdevelopment.

TI
Texas Instruments
111 Forbes Boulevard
Mansfield, MA 02048
USA
tel: 508 236-5388
tel: 508 236-5202
fax: 508 236-5339
fax: 508 236-5200
larmstrong@ti.com
bwilkins@ti.com
tsutton@ti.com
www.ti.com/mc/igb General
Burn-in Test Sockets.TI creates custom-engineered and core testing solutionsfor the semiconductor industryto ensure quality, boost yield, and increase productivity.TI has an extensive portfolio of existing burn-in test socketsand is leading the industry with CSP and Rambus technology solutions,servicing the memory, microprocessor, ASIC, and logic businesses.

Tiffany
Tiffany Associates
14 Allenwood Lane
Aliso Viejo, CA 92656-2913
tel: +1-714-831-5298
tel: +1-800-835-2650
fax: +1-714-831-7913
rtiffany@ix.netcom.com - Randy Tiffany
webtrader.com/webtrader/
Putting buyers and sellers of previously ownedsemiconductor manufacturing and testing systems together.

TSI
Transfer Systems, Inc.
1055 Elkton Drive
Colorado Springs, CO 80907
USA
tel: +1-719-5932924
fax: +1-719-5932928
transfer_systems@msn.com
xfersys@aol.com
www.transfersys.com/
We are a major designer and producer of Automation Systems,specifically designed for use in the Wet Processesof Wafer Semiconductor Fabrication and Manufacturing,as well as related product lines.
Flat Panel Display, CD-ROM, Magnetic recording headsand Ink Jet Printer heads.
We offer low cost, high reliability systems.
We currently have over 650 systems in more than 55 fab houses, world-wide.
We're the ones others try to copy!

[index page of this directory]

Ad for PCI video capturing boards by Dektec.
Goto: Main Mirror About Author
Register: Yourself Company
Feedback: Correction Addition Question
Order: Chips (Deutsch) Chips (English) Chips (Nederlands)

Advertisement

Viewable with any browser